Module based floorplanning methodology to satisfy voltage island and fixed outline constraints

Srinath Balasubramanian*, Arunapriya Panchanathan, Bharatiraja Chokkalingam, Sanjeevikumar Padmanaban, Zbigniew Leonowicz

*Kontaktforfatter

Publikation: Bidrag til tidsskriftTidsskriftartikelForskningpeer review

16 Citationer (Scopus)
192 Downloads (Pure)

Abstract

Multiple supply voltage is the most prevalent method for low power reduction in the design of modern Integrated circuits. Floorplanning process in this design performs positioning of functional blocks in the layout satisfying both fixed outline and voltage island constraints. The floorplans while satisfying these two significant constraints causes significant rise in wirelength and congestion. In this paper, a congestion and wirelength aware floorplanning algorithm is proposed which allows effective placement of functional blocks in the layout to satisfying fixed outline and voltage island constraints simultaneously. To perform voltage island floorplanning, the proposed algorithm uses Skewed binary tree representation scheme to operate the functional blocks in its predefined voltage level. The proposed methodology determines the feasible dimensions of the functional blocks in the representation which aids the placement process for the reduction of congestion and wirelength. With these optimal dimensions of the functional blocks, floorplanning is also performed for the layouts of aspect 1:1, 2:1, and 3:1, to evaluate the ability of proposed algorithm for satisfying the fixed outline constraint. The proposed methodology is implemented in the layout of InternationalWorkshop on Logic and Synthesis (IWLS) benchmarks circuits for experimental purpose. The resulting floorplans were iteratively optimized for optimal reduction of wirelength and congestion. Experimental results show that the proposed methodology outperforms existing state-of-the-art approaches in wirelength reduction by about 18.65% and in congestion reduction by around 63%, while delivering the 30.35% power consumption.
OriginalsprogEngelsk
Artikelnummer325
TidsskriftElectronics (Switzerland)
Vol/bind7
Udgave nummer11
Sider (fra-til)1-21
Antal sider21
ISSN2079-9292
DOI
StatusUdgivet - nov. 2018

Fingeraftryk

Dyk ned i forskningsemnerne om 'Module based floorplanning methodology to satisfy voltage island and fixed outline constraints'. Sammen danner de et unikt fingeraftryk.

Citationsformater